CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - VHDL 矩阵 键盘

搜索资源列表

  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. CPLD_KEYBOARD

    0下载:
  2. 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:67450
    • 提供者:jalon
搜珍网 www.dssz.com